Component/XOR

From Turing Complete
Revision as of 21:24, 30 December 2023 by Lupin3rd (talk | contribs)

The 1-bit Xor gate, also called exclusive OR, outputs a or 1 state, but only if ONE of the inputs is in a or 1 state. If both inputs are , it outputs an . If neither input is , it outputs an . It is useful when you want to make sure that only 1 of 2 bits is .